Chinese company develops 65nm-capable lithography machine for domestic chipmaking — system still trails behind TSMC and Nikon, though

2 days ago 8

The Chinese government is promoting two new lithography machines to reduce reliance on foreign chipmaking tools amid U.S. sanctions, reports the South China Morning Post. Although these machines show notable technological improvements, they still trail industry leaders like ASML and Nikon.

The two machines are deep ultraviolet (DUV) lithography devices featuring argon fluoride lasers. One operates at a 193nm wavelength, offering a resolution below 65nm and an overlay accuracy under 8nm. The other operates at a 248nm wavelength, with a resolution of 110nm and an overlay accuracy of 25nm.

The best Chinese litho tool currently made in high volumes by Shanghai Microelectronics Equipment (SMEE) — the SSX600 — can produce chips on a 90nm process technology. Therefore, one of the new DUV tools is better than the SSX600, and the other is worse than the SSX600. However, they are significantly behind ASML's NXT:1980Fi and Nikon's NSR-S636E. ASML's least advanced DUV machine currently made has a resolution below 38nm and an overlay accuracy of just 1.3nm. Nikon's most advanced tool also has a resolution of 38nm and an overlay performance of 2.1nm.

Swipe to scroll horizontally

Header Cell - Column 0 NXT:1980FiNSR-S636ELitho Tool 1Litho Tool 2
Light SourceArF 193nmArF 193nmArF 193nmArF 248nm
Resolution38nm38nm65nm110nm
Projection Optics1.35 NA1.35 NA??
Wafers per hour330280??
Overlay Perfrormance1.3nm2.1nm8nm25nm

The new systems represent a breakthrough in China’s domestic chip-making efforts, but the machines are not yet commercially available. The Ministry of Industry and Information Technology (MIIT) highlighted these advancements but did not disclose the companies responsible for the machines.

China has long sought to reduce its reliance on foreign suppliers, especially for critical semiconductor technology, but it still depends heavily on ASML’s machines. However, ASML has to get an export license from the Dutch government to sell its advanced DUV tools, which basically means that Chinese entities cannot get these machines.

SMEE, a state-owned company, is seen as China’s best chance to develop domestic lithography systems. However, SMEE lags significantly behind ASML and other global competitors. Last year, SMEE demonstrated a lithography tool capable of making chips using 28nm-class process technologies. However, the company still has to start mass production of this system.

Despite these challenges, SMEE has made strides. In March 2023, the company filed a patent for EUV lithography technology. This development shows some progress despite the hurdles presented by international sanctions and trade restrictions.

Get Tom's Hardware's best news and in-depth reviews, straight to your inbox.

Read Entire Article